Hjem Fremadrettet tænkning Euvs løfter og udfordringer på verdensgrunde

Euvs løfter og udfordringer på verdensgrunde

Indholdsfortegnelse:

Video: Inside The Worlds Largest Semiconductor Factory - BBC Click (Oktober 2024)

Video: Inside The Worlds Largest Semiconductor Factory - BBC Click (Oktober 2024)
Anonim

En af grundene til, at jeg var så fascineret af at besøge GlobalFoundries tidligere denne måned var for muligheden for at se en EUV-litografimaskine på plads og høre om, hvordan firmaet planlægger at bruge det.

For ikke længe siden havde jeg en chance for at besøge en fabrik i Connecticut, hvor ASML bygger mange af komponenterne til en sådan EUV-maskine. Disse enorme værktøjer bruger ekstremt ultraviolet (EUV) lys skinnet gennem en maske til at afgrænse linjerne for meget små funktioner i chips, og er nogle af de mest komplekse maskiner i verden. De er designet til at komme i stedet for de nu standard nedsænkningslithografimaskiner, der bruger lys med en bølgelængde på 193nm i nogle lag i chipfremstillingsprocessen.

For at sammenfatte er en EUV-maskine utroligt kompliceret. Som George Gomba, vicepræsident for teknologiforskning for GlobalFoundries forklarede det, begynder processen med en 27-kilowatt CO2-laser, der fyres gennem et stråletransport- og fokuseringssystem på små tindråber (ca. 20 mikrometer i diameter) produceret af en dråbegenerator i et plasmakar. Den første puls flader dråben, og den anden fordamper den, hvilket skaber laserproduceret plasma (LPP). EUV-fotoner, der udsendes fra plasmaet, opsamles af et specielt spejl, der reflekterer 13, 5 nm bølgelængdelys, og at stråling overføres til et mellemliggende fokuspunkt, hvor det kommer ind i scanneren og projiceres gennem en maske på siliciumskiven. Gomba, der arbejder ud af Albany Nanotech-anlægget, sagde, at han har arbejdet med forproduktion af EUV-systemer siden 2013, og forventer nu, at EUV er i fuld produktion på GlobalFoundries i andet halvår af 2019.

Disse værktøjer er så komplekse, at de kræver måneders arbejde bare for at gøre dem klar til at starte produktionen. På virksomhedens Fab 8 i Malta, New York, så jeg de første to EUV-værktøjer, der er installeret; den ene er næsten komplet, og den anden er i procesproduktion, og der er stadig plads til to til.

At få EUV-værktøjer i selve bygningen var en kompleks operation. Den vigtigste fab blev først forseglet; derefter blev en kran installeret i loftet, og et hul skåret i siden af ​​bygningen for at flytte det enorme nye system indefra. Derefter skulle det naturligvis være tilsluttet de andre værktøjer på fabrikken. Dette involverede arbejde både i underfabrikken, som måtte sættes op til kildeværktøjet, der skaber den laser, der blev brugt i processen, såvel som i selve rene rum. Det hele skulle gøres, mens resten af ​​fab'en kørte på fuld hastighed.

Tom Caulfield, SVP & General Manager for Fab 8, sammenlignede dette med "at udføre hjertekirurgi, mens du løb et maraton."

EUV's status - og hvad der stadig skal løses

Gary Patton, CTO & SVP for verdensomspændende F & U for GlobalFoundries, sagde 7nm vil være i risikoproduktion på Fab 8 i år og fuld produktion næste år ved hjælp af nedsænkningslithografi og firemønster, men ikke EUV. Multi-mønstring tager længere tid, fordi det involverer flere trin, og der kan opstå problemer på grund af den meget præcise tilpasning, der kræves på hvert trin, men disse litografiske værktøjer er almindelige, vel forståede og klar i dag. Planen er senere at tilbyde en version af 7nm-processen ved hjælp af de nye EUV-værktøjer.

EUV er "ikke klar i dag, " sagde Patton og citerede problemer med kildekraft, modstå materialer og maskerne, især med udvikling af den rette pellicle (en tynd film, der går over masken eller retiklen).

I øjeblikket er EUV-maskiner ikke så hurtige, med en ingeniør, der forklarer, at de kan fremstille omkring 125 skiver i timen, sammenlignet med ca. 275 skiver i timen til nedsænkningslithografi. De kan faktisk spare tid, for hvis processen reducerer antallet af pas til multimønstring, sparer det ikke kun trin i litografi, men også ved ætsning og klargøring. Således skulle EUV faktisk koste mindre at køre, når den er klar, sagde Caulfield.

Gomba bemærkede, at ideen ikke kun er at reducere 3 eller 4 lag optisk litografi, men også at reducere mange andre trin, fordi der mellem hvert litografitrin også findes ætsning og anden behandling på skiven. Målet, sagde Gomba, er at reducere cyklustiden med op til 30 dage.

Crossover-punktet er sandsynligvis firemønster, men meget afhænger af udbyttet (som bør forbedres, da EUV-litografitrin skal have mindre variabilitet end flere nedsænkningslithografitrin) og forbedring af cyklustiden. EUV bør også give chipdesignere mulighed for at operere under meget mindre restriktive forhold.

Men han bemærkede også, at der stadig er nogle problemer, der skal løses, især når det kommer til pellicle. En anden ingeniør forklarede, at den 13, 5 nm stråling, der bruges af EUV, bliver absorberet af næsten alt, så maskinens indre skal være et vakuum. Med EUV går meget af magten ikke gennem retiklen (masken), men i stedet opvarmes den. Pelliklen hjælper med at beskytte masken, men der skal stadig gøres et arbejde for at forbedre mængden af ​​lys, der går gennem pelliclen (transmission) såvel som pellikelens levetid. Dette vil igen påvirke kapaciteten såvel som maskernes levetid og den samlede driftstid.

Som et resultat, sagde Patton, vil virksomheden oprindeligt tilbyde en 7nm krympning med EUV, der hovedsageligt vil blive brugt til kontakter og vias. Dette alene kan give en 10 til 15 procent stigning i densitet uden en stor designinvestering. Når problemerne er løst, sagde Patton, kan EUV bruges i mange flere lag. (Joel Hruska fra ExtremeTech , der også var på turnéen, har flere detaljer her.)

Patton bemærkede, at ASML skulle få "enorm kredit" for at skubbe EUV så langt som det har gjort, og sagde, at det er et "utroligt resultat af teknik." På spørgsmålet, om GlobalFoundries virkelig er forpligtet til at gøre EUV, svarede Caulfield, at firmaet har foretaget en investering på 600 millioner dollars, hvilket betyder "skal gøre det."

FDX og køreplanen for fremtidig chipfremstilling

I en vidtgående diskussion om, hvor chipfremstilling er på vej, forklarede Patton - der tilbragte en lang karriere med at arbejde på chipteknologi for IBM - hvordan konceptet ændrer sig, når vi når slutningen af ​​Moore's Law. Han bemærkede, at det i de første år med chipfremstilling handlede om plan skalering af CMOS af silicium. Fra 2000-2010 vendte fokus derefter på nye materialer; nu er meget af fokuset på 3D-transistorer (de FinFET'er, der bruges i de fleste førende processer i dag) og 3D-stabling.

I 2020, sagde han, vil vi nå grænserne for atomdimensioner, så vi bliver nødt til at fokusere på andre måder at innovere på, herunder nye måder at designe transistorer (såsom nanotråd, der udskifter FinFET'er), nye former for underlag (som f.eks. Fully Udtømt silicium-på-isolator teknologi GlobalFoundries udvikler sig); eller nye niveauer af systemniveauintegration (såsom avanceret emballage, siliciumfotonik og indlejret hukommelse).

GlobalFoundries har to køreplaner, det arbejder på, sagde Patton. Den første er baseret på den nuværende FinFET-teknologi og er designet til enheder med høj ydeevne. Hos GlobalFoundries betyder det at gå fra den nuværende 14nm-proces til en revision af den proces, den kalder 12nm, og derefter senere på året til det, den kalder 7nm. Patton sagde, at dette burde være bedst egnet til mobile applikationsprocessorer og CPU'er med høj ydeevne og GPUS, med GlobalFoundries, der lovede op til en 40 procent forbedring i enhedsydelsen og en 60 procent reduktion i den samlede effekt sammenlignet med 14nm-processen. Ligeledes overbevisende bør det reducere dø-omkostningerne med ca. 30 procent til så meget som 45 procent i forhold til den foregående generation.

I denne del af køreplanen er GlobalFoundries på en lignende kurs sammenlignet med køreplanerne for konkurrerende fabrikanter, såsom TSMC eller Samsung.

Men til andre applikationer fokuserer virksomheden på det, det kalder FDX, sit brand til fuldt udtømt silicium-på-isolator-teknologi. Dette er en plan teknologi, hvilket betyder, at den ikke bruger 3D-transistorer, og Patton sagde, at den giver en mere omkostningseffektiv løsning til low-end og midterste niveau mobile processorer såvel som processorer til Internet of Things og mange bilindustrier applikationer. Mens noget af forskningen for dette sker på Malta, er FDX-processen for det meste organiseret i Dresden, Tyskland. Det aktuelle arbejde med denne proces er, hvad GlobalFoundries kalder sin 22nm FDX-knude; Dette er beregnet til at gå til en 12nm-proces næste år.

Caulfield bemærkede, at "en krympe ikke er nok", og at for at gå til den næste knude, skal GlobalFoundries også tilbyde mere ydelse og bringe reel værdi for kunderne. Han bemærkede, at firmaet sprang over 20 nm og hvad andre kalder 10 nm for at fokusere på 7 nm og sagde, at denne node tilbyder en direkte omkostningsreduktion på 30 til 45 procent sammenlignet med 14 nm, opvejet noget af behovet for flere masker til de ekstra trin, der kræves af multi- mønster.

Caulfield bemærkede, at mere end halvdelen af ​​firmaets indtægter forbliver på ældre procesknudepunkter, såsom 28 og 40 nm-knudepunkter. Virksomhedens Singapore-fabrik er fokuseret på 40 nm og ældre processer, og Dresden fremstiller 22 nm og ældre. I mellemtiden er alt på Malta fokuseret på 14 nm og nyere processer.

Den 7.nm, sagde Caulfield, vil virksomheden være en "hurtig følge", mens den er på FDX, vil den være en "forstyrrende" faktor på markedet.

Patton bemærkede, at GlobalFoundries viste en 7nm testchip i 2015, som den udviklede sammen med partnerne IBM og Albany NanoTech Complex. Ved 5nm har virksomheden talt om nanosheets eller gate-all-around transistorer, og et fokus på intra-modul kommunikation ved hjælp af 2, 5D og 3D-chip-emballage på siliciuminterposere til at forbinde forskellige dyse- og hybrid hukommelseskuber. Sammen med sine partnere demonstrerede det en 5nm testchip sidste år.

I årevis har jeg været imponeret over hvor meget chipproduktionsindustrien har været i stand til at forbedre. Det er svært at tænke på en anden branche, der er flyttet så langt og så hurtigt - og arbejdet med værktøjsmaskiner som ASML og fabs som GlobalFoundries er bare utroligt. De udfordringer, de står overfor med at realisere endnu hurtigere chips og tættere design, er mere og mere vanskelige, men mit besøg mindede mig om både kompleksiteten i de banebrydende processer og de fremskridt, som vi fortsat ser.

Hvor sandsynligt er det, at du anbefaler PCMag.com?
Euvs løfter og udfordringer på verdensgrunde