Hjem Fremadrettet tænkning Er 450 mm skiver fremtiden for chipfremstilling?

Er 450 mm skiver fremtiden for chipfremstilling?

Video: Understanding mm, cm, m, and km (Oktober 2024)

Video: Understanding mm, cm, m, and km (Oktober 2024)
Anonim

Bag alle de nye gadgets og alle de seje applikationer, vi kører, ligger processorer, hukommelse og andre komponenter, der får systemerne til at fungere. Og bag alt dette ligger halvlederprocessteknologi - det komplekse udvalg af design, værktøjer, materialer og behandlingstrin, der er nødvendigt for at bygge arbejdende transistorer, så små, at 4.000 af dem kan passe over bredden af ​​et menneskehår og samle milliarder af dem i en chip ikke større end din negle.

Baseret på sidste uges Semicon West, det årlige show, der fokuserer på processteknologi i modsætning til processorer eller slutbrugerenheder, ser det ud til, at hele branchen er klar til at flytte ny produktion til 450 mm skiver, der starter i de næste fem år.

I dag er stort set alle de vigtige processorer og hukommelse lavet på 300 mm skiver, cirka 12 tommer på tværs. Men de største chipmakere har talt i årevis om at skifte til 450 mm skiveteknologi - skiver omkring 18 tommer på tværs - fordi disse større skiver kan rumme mere end dobbelt så mange chips, men forhåbentlig vil koste betydeligt mindre end dobbelt så meget som 300 mm produktion. Indtil for nylig har mange af leverandørerne af udstyr trukket deres fødder, fordi det sidste store skridt fra 200 mm til 300 mm endte med at koste dem meget i forskning og udvikling med relativt lidt at vise til det. Men nu ser det ud til, at næsten alle kommer om bord med ideen.

På konferencen viste Paul A. Farrar, daglig leder af Global 450 Consortium, en gruppe af de førende halvlederproduktionsvirksomheder, herunder GlobalFoundries, Intel, IBM, Samsung og TSMC med hovedkontor omkring College of Nanoscale Science and Engineering i Albany, en køreplan, der omfattede 450 mm demonstrationer på 14nm i 2013 til 2015 med udstyret klar til chipproducenter ved 10nm og videre i 2015 til 2016.

Alle de store producenter diskuterede 450 mm værktøjer. Nikon sagde, at den har modtaget en ordre fra G450 Consortium om en 450 mm 193nm ArF-immersionsscanner, der skal bruges til procesudvikling, og sagde, at den også har modtaget en ordre fra en navngivet "større enhedsproducent." ASML sagde, at det vil sende 450 mm ekstrem ultraviolet litografi (EUV) og nedsænkningsværktøjer omtrent på samme tid. Canon viste, hvad den sagde, er den første optisk mønstrede 450 mm skive, mens Molecular Imprints viste resultater for en 450 mm skive mønster ved hjælp af dens nano-aftrykslitografi.

En ting, der ser ud til at drive denne overgang, er de stigende omkostninger ved fremstilling ved mindre knuder. Mens industrien har talt om EUV-litografi i årevis, og ASML især har citeret forbedringer, er dette stadig ikke klar til produktion, da de nuværende værktøjer ikke tillader den hastighed og volumen, som fabrikanterne kræver, delvis på grund af problemer med strømkilden. ASML siger, at det nu har 11 EUV-systemer i marken, og har planer om en ny generation af værktøjer med bedre strømkilder, men ingen udfører i fuld skala produktion med EUV, fordi værktøjerne ikke er hurtige og pålidelige nok.

I stedet bruger fabrikanter de nuværende 193nm nedsænkningsværktøjer, og ved 20 nm og derunder tvinges de at bruge værktøjerne to gange på kritiske lag på skiven for at få den nøjagtighed, de har brug for. Denne dobbelte mønstring - og potentielt firemønster - tilføjer tid og omkostninger til skivefremstilling.

Som GlobalFoundries CEO Ajit Manocha bemærkede i en hovednote, begynder udgifterne til litografi allerede at dominere de samlede omkostninger til fremstilling af skiver. Med multimønster på immersionsscannere bliver dette endnu værre. "Vi har desperat brug for EUV, og EUV er stadig ikke klar, " sagde han.

På andre områder talte Manocha om behovet for støberiinnovation i mobilitetstiden og diskuterede alt fra virksomhedens 14XM FinFET-proces til andre teknikker som FD-SOI, nanotråd og III-V sammensatte halvledere (hovedsageligt chips, der bruger mere eksotiske materialer). Interessant nok nævnte han en mulig overgang til III-V FinFET'er i 2017 for 7nm, skønt det ikke lyder som et specifikt engagement.

Han sagde, at de største udfordringer for industrien er økonomiske. Ved noden 180nm var der kun 15 maskeringer; ved 20nm / 14nm-knudepunkter er der mere end 60 maskeringer, og hvert lag giver flere muligheder for at mislykkes, hvoraf enhver kan gøre en hel skive ubrugelig. ”Alt dette tilføjer virkelig, ” sagde han og viste, hvordan omkostningerne ved chipdesign ved 130 nm (hvilket var almindeligt i forkant for et årti siden og stadig bruges af nogle efterfølgende chips), var $ 15 millioner; ved 20nm er det $ 150 millioner. Tilsvarende er udgifterne til procesdesign steget fra $ 250 millioner til $ 1, 3 milliarder, og fab til at fremstille chip er steget fra $ 1, 45 milliarder til omkring $ 6, 7 milliarder i dag.

For at bekæmpe dette taler andre værktøjsudbydere om teknikker ud over litografi, såsom chipstabling med gennem-silicium vias (TSV'er) designet til at producere flere lag chips; og nye værktøjer til afsætning og fjernelse af materialer. Virksomheder inklusive anvendt materiale, LAM Research, Tokyo Electron og KLA-Tencor skubber deres løsninger.

I andre nyheder fra showet talte Karen Savala, præsident for SEMI Americas, om "renæssancen" i USA's produktion og rollen som halvlederindustrien og sagde, at branchen nu tegner sig for 245.000 direkte job og omkring en million samlede job i Amerikansk forsyningskæde.

SEMI forventer, at udgifter til udstyr vil falde en smule i år, efterfulgt af en stigning på 21 procent næste år, hovedsageligt på grund af fortsatte støberiudgifter til 20nm-produktion, nye NAND-flashfabrikker, der rampes og Intels opgradering af sin fab i Irland.

Er 450 mm skiver fremtiden for chipfremstilling?